Follow
Vidya A. Chhabria
Vidya A. Chhabria
Assistant Professor at Arizona State University
Verified email at asu.edu - Homepage
Title
Cited by
Cited by
Year
Toward an open-source digital flow: First learnings from the openroad project
T Ajayi, VA Chhabria, M Fogaça, S Hashemi, A Hosny, AB Kahng, M Kim, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
1442019
OpenROAD: Toward a self-driving, open-source digital layout implementation tool chain
T Ajayi, D Blaauw
Proceedings of Government Microcircuit Applications and Critical Technology …, 2019
782019
Thermal and IR drop analysis using convolutional encoder-decoder networks
VA Chhabria, V Ahuja, A Prabhu, N Patil, P Jain, SS Sapatnekar
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
332021
Template-based PDN synthesis in floorplan and placement using classifier and CNN techniques
VA Chhabria, AB Kahng, M Kim, U Mallappa, SS Sapatnekar, B Xu
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 44-49, 2020
332020
MAVIREC: ML-aided vectored IR-drop estimation and classification
VA Chhabria, Y Zhang, H Ren, B Keller, B Khailany, SS Sapatnekar
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
202021
Analytical Modeling of Transient Electromigration Stress based on Boundary Reflections
MAA Shohel, VA Chhabria, N Evmorfopoulos, SS Sapatnekar
Proceedings of the 2021 International Conference on Computer Aided Design …, 2021
182021
Impact of self-heating on performance and reliability in FinFET and GAAFET designs
VA Chhabria, SS Sapatnekar
20th International Symposium on Quality Electronic Design (ISQED), 235-240, 2019
182019
From global route to detailed route: ML for fast and accurate wire parasitics and timing prediction
VA Chhabria, W Jiang, AB Kahng, SS Sapatnekar
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 7-14, 2022
102022
A New, Computationally Efficient" Blech Criterion" for Immortality in General Interconnects
M Abdullah Al Shohel, VA Chhabria, SS Sapatnekar
arXiv e-prints, arXiv: 2105.08784, 2021
10*2021
BeGAN: Power Grid Benchmark Generation Using a Process-portable GAN-based Methodology
VA Chhabria, K Kunal, M Zabihi, SS Sapatnekar
Proceedings of the 2021 International Conference on Computer Aided Design …, 2021
92021
PDNSim
VA Chhabria, SS Sapatnekar
github. com/The-OpenROAD-Project/OpenROAD/tree/master/src/psm, 2021
52021
CircuitOps: An ML Infrastructure Enabling Generative AI for VLSI Circuit Optimization
R Liang, A Agnesina, G Pradipta, VA Chhabria, H Ren
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-6, 2023
42023
A Machine Learning Approach to Improving Timing Consistency between Global Route and Detailed Route
VA Chhabria, W Jiang, AB Kahng, SS Sapatnekar
ACM Transactions on Design Automation of Electronic Systems 29 (1), 1-25, 2023
32023
XT-PRAGGMA: Crosstalk pessimism reduction achieved with GPU gate-level simulations and machine learning
VA Chhabria, B Keller, Y Zhang, S Vollala, S Pratty, H Ren, B Khailany
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 63-69, 2022
32022
2023 ICCAD CAD Contest Problem C: Static IR Drop Estimation Using Machine Learning
GSP Kadagala, VA Chhabria
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-5, 2023
22023
Recent progress in the analysis of electromigration and stress migration in large multisegment interconnects
N Evmorfopoulos, MAA Shohel, O Axelou, P Stoikos, VA Chhabria, ...
Proceedings of the 2023 International Symposium on Physical Design, 115-123, 2023
22023
Encoder-decoder networks for analyzing thermal and power delivery networks
VA Chhabria, V Ahuja, A Prabhu, N Patil, P Jain, SS Sapatnekar
ACM Transactions on Design Automation of Electronic Systems 28 (1), 1-27, 2022
22022
Determining IR Drop using ML
V Chhabria, Y Zhang, H Ren, BK Khailany
US Patent App. 17/211,695, 2022
2*2022
OpeNPDN: a neural-network-based framework for power delivery network synthesis
VA Chhabria, SS Sapatnekar
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
22021
ECO-CHIP: Estimation of Carbon Footprint of Chiplet-based Architectures for Sustainable VLSI
CC Sudarshan, N Matkar, S Vrudhula, SS Sapatnekar, VA Chhabria
2024 IEEE International Symposium on High-Performance Computer Architecture …, 2024
1*2024
The system can't perform the operation now. Try again later.
Articles 1–20